Welcome![Sign In][Sign Up]
Location:
Search - manchester code

Search list

[Applicationsmanchester

Description: 用verilog HDL实现曼彻斯特编码的源码-with Manchester Verilog HDL source code
Platform: | Size: 4096 | Author: 刘波 | Hits:

[Software EngineeringAManchesterCodeDecodingSystemBased

Description: 本文在阐明反相对称调制(PISM)原理的基础上,结合曼彻斯特码的结构特点,给出了一种基于PISM原理的曼彻斯特码解码系统,并对其抗噪声性能进行了理论分析,揭示了曼彻斯特码潜在的抗噪声性能。-This article clarifies RP-symmetry modulation (PISM) on the basis of principle, Manchester code with the structural characteristics of a given based on the principle of PISM Manchester Decoder System and the anti-noise performance of the theoretical analysis reveals the Manchester code potential anti-noise performance.
Platform: | Size: 59392 | Author: 孔嘉 | Hits:

[ARM-PowerPC-ColdFire-MIPSu2270code

Description: 程序实现了U2270的曼切斯特码的调制,输出ID卡的标准数据格式,经证实,程序可用。-program of the Manchester U2270 code modulation, output ID card standard data format, confirmed, procedures available.
Platform: | Size: 11264 | Author: 钱明贵 | Hits:

[Communication-MobileManchesterDeEncoder

Description: 曼彻斯特编码,可以利用这个程序方便的进行曼彻斯特码的解码。-Manchester encoding, we can use this procedure to facilitate the conduct of Manchester code decoding.
Platform: | Size: 160768 | Author: 朱凤宇 | Hits:

[VHDL-FPGA-VerilogManchester

Description: 基于FPGA/CPLD,采用VHDL语言的曼彻斯特的编解码实现。还包含曼彻斯特码的说明文档。-Based on FPGA/CPLD, using VHDL language codec Manchester realize. Manchester code also includes documentation.
Platform: | Size: 175104 | Author: 周水斌 | Hits:

[SCMreadermodule

Description: Use this program to decode the Manchester Code of the RFID. Validate the accessibility of detected card. Including a keypad controller, generally a all in one card access reader.
Platform: | Size: 201728 | Author: 谢国权 | Hits:

[Embeded-SCM DevelopRFID

Description: 利用射频卡读写基站U2270B实现对EM4100卡的读取控制。系统会自动对曼彻斯特编码进行解调,并对接收到的数据检验。同时系统会自动检测是否有射频卡存在。而且会实时监控系统运行的状态,并会提示各种读卡错误的信息。显示采用12864液晶,没有附上液晶源码。-The use of radio frequency card reader U2270B realize base stations on the EM4100 card reader control. The system will automatically Manchester code demodulator and the received data verification. At the same time, the system will automatically detect whether there has RF card. But also real-time monitoring of system operation status, and will prompt all kinds of readers the wrong message. 12864 LCD display used, there is no source attached LCD.
Platform: | Size: 3072 | Author: chb | Hits:

[RFIDWXJM

Description: 使用曼切斯特码作为无线传输的程序,希望大家多多支持啦!-Manchester code used as a wireless transmission process, I hope everyone will support you!
Platform: | Size: 80896 | Author: qbest313 | Hits:

[SCMManchester-AVR

Description: Manchester码解码算法的AVR单片机实现-Manchester code decoding algorithm of the AVR Microcontroller
Platform: | Size: 331776 | Author: 林永平 | Hits:

[File FormatManchester

Description: “Manchester码(双相码)编码器- Manchester Code (two-phase code) encoder
Platform: | Size: 1024 | Author: 冯小晶 | Hits:

[VHDL-FPGA-VerilogBFL_Encode

Description: 将宽度为width位的并行输入数据按BiΦ-L码(曼彻斯特码)方式进行编码后串行输出,输出数据的宽度为(2*width),BiΦ-L码是PCM码的一种,常用的PCM编码方式有:NRZ-L,BiΦ-L和BiΦ-M三种-The width of the parallel-bit width input data by BiΦ-L code (Manchester code) way encoded serial output, the output data width (2* width), BiΦ-L code is a PCM code, commonly used Has PCM encoding: NRZ-L, BiΦ-L and three BiΦ-M
Platform: | Size: 1024 | Author: 贺明辉 | Hits:

[VHDL-FPGA-Verilogmachester_VHDL

Description: manchester码在通信领域中用途广泛 这个VHDL程序包括曼彻斯特码的打包和解包。。很难得哦-manchester code in the communications area of a wide range of uses of this process includes the VHDL code packaged Manchester reconciliation package. . Oh, a rare
Platform: | Size: 1024 | Author: 王鹏 | Hits:

[VHDL-FPGA-Verilogmanchester-code

Description: 曼彻斯特编码技术用电压的变化表示0和1。规定在每个码元中间发生跳变。高→ 低的跳变表示0,低→ 高的跳变表示为1。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致-Manchester coding techniques that use voltage changes in 0 and 1. Provisions in the middle of each symbol hopping happen. High → low hopping express 0, low → high jump for the express one. Symbol between each transition must happen, this change in the receiver can be extracted as a synchronization signal to the receiving end of the clock and send the equipment to maintain the same clock
Platform: | Size: 91136 | Author: 魏伟 | Hits:

[matlabmain

Description: manchester code in matlab , graph of individual character
Platform: | Size: 1024 | Author: jsu | Hits:

[Othermanchester

Description: 该程序主要是完成曼彻斯特码的,编码,同步,加噪,译码,计算误码率等功能。-the program main contain manchester code, synchronization, add the gauss noise and so on
Platform: | Size: 155648 | Author: hmg | Hits:

[VHDL-FPGA-Verilogmanchester

Description: verilog 实现manchester编解码,最高速率5mhz-verilog manchester code to achieve the highest rate of 5mhz
Platform: | Size: 4096 | Author: 王红星 | Hits:

[OtherManchester-coding-

Description: 曼彻斯特编码与差分曼彻斯特编码详解,曼彻斯特编码(Manchester Encoding),也叫做相位编码(PE)是一个同步时钟编码技术,被物理层用来编码一个同步位流的时钟和数据。-Manchester coding with the difference in manchester. manchester code to labour code ( manchester encoding ), also called phase encoding (pe) is a synchronization code, the technology is the physical layer is used to encode a synchronization of the clock and data.
Platform: | Size: 27648 | Author: wanwei | Hits:

[SCMthe-decoding-of-Manchester-Code

Description: 曼彻斯特解码 转 使用CVAVR1.24.8c版本编译,在ATmgea16L上实现,晶振频率7.3728M-the decoding of Manchester Code
Platform: | Size: 1024 | Author: jack | Hits:

[VHDL-FPGA-VerilogManchester-code-of-VHDL-program

Description: 利用FPGA实现硬件的VHLD语言的Manchester code。-Hardware implementation using FPGA VHLD language Manchester code.
Platform: | Size: 1024 | Author: | Hits:

[SCM51-single-Manchester-code-

Description: 51单片机曼彻斯特码译码源程序,C语言运行环境-51 single Manchester code decoder source code, C language runtime environment
Platform: | Size: 825344 | Author: zsj | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net